site logo

Torolàlana mifehy ny mari-pana amin’ny lafaoro muffle

Torolàlana mifehy ny mari-pana amin’ny lafaoro muffle

 

1. Fampandehanana sy fampiasana

1 . Rehefa mandeha ny fanaraha-maso, ny laharana ambony amin’ny varavarankely fampirantiana dia mampiseho “laharan’ny fanondroana sy laharan’ny dikan-teny”, ary ny laharana ambany dia mampiseho “sarobidy” mandritra ny 3 segondra eo ho eo, ary avy eo dia miditra amin’ny toetry ny fampisehoana mahazatra.

 

2. Reference sy ny fametrahana ny mari-pana sy ny mari-pana tsy tapaka fotoana

1) Raha tsy misy fiasa ara-potoana amin’ny hafanana tsy tapaka:

Tsindrio ny bokotra “set” mba hidirana amin’ny toetry ny mari-pana, ny laharana ambany amin’ny varavarankely fampirantiana dia mampiseho ny bitsika “SP”, ny laharana ambony dia mampiseho ny sandan’ny mari-pana (mipoitra ny sanda voalohany), ary azonao atao ny manindry ny fiovana, mampitombo , ary ahena ny fanalahidy Ovao amin’ny sandan’ny fanovana ilaina; tsindrio indray ny bokotra “Set” raha te hiala amin’ity toe-javatra ity, ary ho voatahiry ho azy ny sandan’ny fanovana. Amin’ity toe-javatra ity, raha tsy misy fanalahidy voatsindry ao anatin’ny 1 minitra, dia hiverina ho azy amin’ny toetry ny fampisehoana mahazatra ny mpanara-maso.

2) Raha misy tsy tapaka ny mari-pana miasa fotoana

Tsindrio ny bokotra “set” mba hidirana amin’ny toetry ny mari-pana, ny andalana ambany amin’ny varavarankely fampirantiana dia mampiseho ny bitsika “SP”, ny laharana ambony dia mampiseho ny sandan’ny mari-pana (mipoitra ny sanda voalohany), ny fomba fanovana dia mitovy amin’ny etsy ambony ; avy eo tsindrio ny “set” Tsindrio ny fanalahidy mba hidirana amin’ny toetr’andro fametrahana ny mari-pana tsy tapaka, ny laharana ambany amin’ny varavarankely fampisehoana dia mampiseho ny bitsika “ST”, ary ny laharana ambony dia mampiseho ny sandan’ny fametrahana ny mari-pana tsy tapaka (mitselatra ny sanda voalohany); avy eo tsindrio ny bokotra “set” mba hivoahana amin’ity toe-javatra ity, Ny sandan’ny fanovana novaina dia voatahiry ho azy.

Rehefa apetraka amin’ny “0” ny fotoana mari-pana tsy tapaka, dia midika izany fa tsy misy fiasa ara-potoana ary mandeha tsy tapaka ny mpanara-maso, ary ny laharana ambany amin’ny varavarankely fampirantiana dia mampiseho ny lanjan’ny mari-pana; rehefa tsy “0” ny fotoana voatondro , ny laharana ambany amin’ny varavarankely fampirantiana dia mampiseho ny fotoana fandehanana na ny mari-pana ny sandan’ny napetraka (jereo fito . latabatra paramètre anatiny -2 fomba fampisehoana fotoana mandeha (parameter ndt aorian’ny sanda)), rehefa ny fampisehoana fotoana fandehanana, misy teboka desimal dia mirehitra andalana manaraka, ary noho izany dia tonga amin’ny mari-pana napetraka ny mari-pana refesina, ny fotoana Manomboka ny fotoanan’ny fitaovana, mitselatra ny teboka desimal ambany, miakatra ny fotoana, ary tapitra ny fandidiana, ny laharana ambany amin’ny fampisehoana Ny varavarankely dia mampiseho ny “End”, ary ny buzzer dia mibio mandritra ny 1 minitra ary mijanona ny bip. Rehefa vita ny fandidiana dia tsindrio lava ny famaha “mihena” mandritra ny 3 segondra hanombohana ny fandidiana.

Fanamarihana: Raha mitombo ny sandan’ny fametrahana mari-pana mandritra ny fizotry ny fotoana, dia hanomboka ny ora manomboka amin’ny 0 ny metatra, ary raha mihena ny sandan’ny fametahana mari-pana, dia hanohy ny fotoana ny metatra.

3. Fanairana tsy ara-dalàna sensor

Raha ny laharana ambony amin’ny varavarankelin’ny fampisehoana dia mampiseho “—” , midika izany fa diso ny sensor mari-pana na mihoatra ny fetran’ny fandrefesana ny mari-pana na ny mpanara-maso mihitsy no diso. Ny controller dia hanapaka ho azy ny fanafanana Output, ny buzzer dia tsy mitsaha-mitombo be, ary ny fanairana jiro dia hirehitra foana. Mba jereo tsara ny maripana. Sensor sy ny wiring.

4. Rehefa ny fanairana ambony noho ny maripana dia mi-beep ny buzzer, mi-beep ary mirehitra foana ny jiro fanairana “ALM”; rehefa manaitra ny fanairana ny fivilian-tsivana ambany, dia mi-beep ny buzzer, mi-beep, ary miredareda ny jiro fanairana “ALM”. Raha misy fanairana mihoatra ny mari-pana amin’ny fametrahana ny sandany dia mandeha ny jiro fanairana “ALM”, fa tsy maneno ny buzzer.

5. Rehefa maneno ny buzzer dia azonao atao ny manindry izay fanalahidy rehetra hampangina azy.

6 . Famaha “Shift”: Kitiho ity fanalahidy ity amin’ny toetry ny toe-javatra mba hanovana ny sandan’ny fametahana sy ny flash ho an’ny fanovana.

7 . bokotra ” Ahena ”: Tsindrio ity bokotra ity amin’ny toetry ny toe-javatra mba hampihenana ny sanda napetraka, tsindrio lava ity bokotra ity mba hampihenana ny sanda napetraka tsy tapaka.

8 . bokotra ” Ampitomboy ”: Tsindrio ity bokotra ity amin’ny toetry ny toe-javatra mba hampitomboana ny sanda napetraka, tsindrio lava ity bokotra ity mba hampitombo ny sanda napetraka tsy tapaka.

9 . Amin’ny toe-javatra misy, raha tsy misy fanalahidy voatsindry ao anatin’ny 1 minitra, dia hiverina ho azy amin’ny toetry ny fampisehoana mahazatra ny mpanara-maso.

 

2. System self-tuning

 

Rehefa tsy mety tsara ny fiantraikan’ny fanaraha-maso ny mari-pana, dia afaka manitsy tena ny rafitra. Mandritra ny fizotran’ny auto-tuning, ny mari-pana dia hanana overshoot lehibe. Ny mpampiasa dia tokony handinika tanteraka an’io lafin-javatra io alohan’ny hanaovana ny rafitra auto-tuning.

Ao amin’ny toe-javatra tsy voafaritra, tsindrio ary mihazona ny bokotra “Shift / Auto-tuning” mandritra ny 6 segondra ary ampidiro ao amin’ny programa auto-tuning ny rafitra. Mitselatra ny famantarana “AT”. Taorian’ny auto-tuning, ny famantarana dia mitsahatra ny tselatra, ary ny mpanara-maso dia hahazo andiana fiovana. Ny mari-pamantarana PID rafitra tsara indrindra, ny sanda parametra dia voatahiry ho azy. Ao anatin’ny dingan’ny fanamafisam-peo ho an’ny rafitra, tsindrio ary tazony ny famaha “shift / auto-tuning” mandritra ny 6 segondra mba hampitsaharana ny programa auto-tuning.

Ao amin’ny dingan’ny fanamafisam-tena ny rafitra, raha misy fanairana avo lenta mihoatra ny mari-pana, ny jiro fanairana “ALM” dia tsy hirehitra ary tsy haneno ny buzzer, fa ho tapaka ho azy ny fampitaovana fanairana. Ny famaha “Set” dia tsy manan-kery mandritra ny rafitra auto-tuning. Ao amin’ny dingan’ny rafitra faneken-tena, na inona na inona misy ny mari-pana tsy tapaka ny fotoana, ny ambany andalana ny fanaraha-maso ny varavarankely mampiseho foana ny mari-pana ny sandan’ny.

 

3. Fanondroana sy fametrahana ny mari-pana ao anatiny

 

Tsindrio lava ny fanalahidin’ny fametrahana mandritra ny 3 segondra eo ho eo, ny laharana ambany amin’ny fikandrana fanehoana mpanara-maso dia mampiseho ny fampandrenesana ny tenimiafina “Lc”, ny laharana ambony dia mampiseho ny sandan’ny tenimiafina, amin’ny alàlan’ny fampitomboana, fihenam-bidy ary fanovana fanalahidy, manova ny sandan’ny tenimiafina ilaina. Tsindrio indray ny bokotra napetraka, raha diso ny sandan’ny tenimiafina, dia hiverina ho azy amin’ny toetry ny fampisehoana mahazatra ny mpanara-maso, raha marina ny sandan’ny tenimiafina, dia hiditra ao amin’ny toetry ny mari-pana anatiny izy io, ary tsindrio ny bokotra napetraka mba hanovana ny tsirairay. paramètre indray. Tsindrio lava ny bokotra napetraka mandritra ny 3 segondra mba hialana amin’ity fanjakana ity, ary voatahiry ho azy ny sandan’ny parameter.

 

latabatra paramètre anatiny -1

famantarana parameter anaran’ny parameter Famaritana ny fiasan’ny parameter (Range) Sandan’ny orinasa
Lc- tenimiafina Rehefa “Lc=3” , dia azo jerena sy ovaina ny sandan’ny paramètre. 0
ALH- Fiviliana ambony

Fanairana noho ny maripana

Rehefa ” sanda fandrefesana mari-pana > sanda fametrahana mari-pana + HAL” , dia mirehitra foana ny jiro fanairana, maneno ny buzzer (jereo ny V.4 ), ary tapaka ny fivoahana fanafanana. (0 ~ 100 ℃)

30

danjan’ny Fiviliana ambany

Fanairana noho ny maripana

Rehefa ” sanda fandrefesana mari-pana < sanda fametrahana mari-pana- REHETRA” , dia miredareda ny jiro fampitandremana ary maneno ny buzzer. (0 ~ 100 ℃)

0

T- Tsingerin’ny fanaraha-maso Cycle fanaraha-maso fanafanana. (1 hatramin’ny 60 segondra) Fanamarihana 1
P- Tarika mifandanja Fanitsiana ny fiantraikan’ny fotoana. (1 ~1200) 35
I- Fotoana fampidirana Fampifanarahana mitambatra. (1 hatramin’ny 2000 segondra) 300
d- Fotoana samihafa Fanitsiana vokatry ny fahasamihafana. (0 ~ 1000 segondra ) 150
Pb- Fanitsiana isa Ahitsio ny lesoka vokatry ny fandrefesana ny sensor (mari-pana ambany).

Pb = sandan’ny maripana tena izy – sanda refesina metatra

(-50 ~ 50 ℃)

0

PK- Fanitsiana mizana feno Ahitsio ny lesoka nateraky ny fandrefesana sensor (temperature).

PK=1000* (tondro maripana tena izy – sanda fandrefesana metatra) / sanda fandrefesana metatra

(-999 ~ 999) 0

Fanamarihana 1: Ho an’ny mpanara-maso miaraka amin’ny modely PCD-E3002/7 (famoahana relay), ny sandan’ny orinasa default amin’ny fe-potoana fanaraha-maso fanafanana dia 20 segondra, ary ho an’ny modely hafa dia 5 segondra.